Hello,

Im doing a project with 5 or 4 people (do not remember exactly). we are trying to simulate a calculator or the components of a calculato using VHDL verilog hardware description language). I have to design a floating point divider. That is a module that divides 2 floating point numbers. I will do the behavour description of it (structure description will take a much longer time). I think i know how to deal with floating points, but i do not know how to start. I cannot just divide the two numbers (can I?). Or i have to use substraction? how?. We have to submit the project on friday tommorow at 11:59 PM so try to send me feedback as fast as you can.

Any ideas, help or even the real code for FPD(although i think you wont do this) would be very much appreciated. Thanks in advance

Hello,

I think i got half the way there or even more than have the way. I have an implemented in Verilog hdl a module that devides two integer binary numbers. If can convert from float type to integer type then i can do it right? but how? how to do it in verilog hdl?
Again, Any ideas or help will be very much appreciated. Thanks in advance

Be a part of the DaniWeb community

We're a friendly, industry-focused community of developers, IT pros, digital marketers, and technology enthusiasts meeting, networking, learning, and sharing knowledge.