The sign-extend unit works for I-type instructions, what would be it's output for R-type instructions? 32 zeros?

[15:0] is always fed into the sign-extend. However, since it's an R-type instruction, we don't really care about the output. If you want to know what the value it, it's [15:0] of whatever the instruction is sign-extended. It's not usually meaningfull though.

Be a part of the DaniWeb community

We're a friendly, industry-focused community of developers, IT pros, digital marketers, and technology enthusiasts meeting, networking, learning, and sharing knowledge.