tesuji 135 Master Poster

Hi there everyone,

I've just started with Ubuntu 7.10 and KDevelop 3.5.8 (just installed, true greenhorn to Ubuntu) .

First, I created this hello-world program:

#include <cstdio>
int main() { printf("Hello World\n"); return 0;}

with gedit, compiling and linking it with g++ -o hello hello.cpp. Running it with ./hello worked fine and "Hello World" was shown on console window.

Now I tried out this task in KDevelop 3.5.8. But serious error occured:

/home/dev/hello: Permission denied
*** Exited with status: 126 ***

Does KDevelop need special permissions on folder /home/dev/hello? On the other hand, gedit and g++ also wrote files to same folder and executing ./hello worked correctly.

Can anybody help me to solve this problem? I really appreciate any help of you.

Best regards, tesuji

I should note that I installed the complete KDevelop system on gnome-based Ubuntu 7.10. I have also installed auto make tool.

Be a part of the DaniWeb community

We're a friendly, industry-focused community of developers, IT pros, digital marketers, and technology enthusiasts meeting, networking, learning, and sharing knowledge.